SmartSpice Version 1.5.6.R Analog Circuit Simulator (C) 1987 - 1998 SILVACO International All Rights Reserved (408) 567-1000 Running on host : localhost.localdomain Interpreter license available Optimizer license available Verilog interface license available Loading /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/include/ttlh.sp Loading /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/models.sp Loading ./dffce_2x.sp Loading /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/autochar.sp Loading /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp Loading /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp Loading /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp Loading /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp Circuit: * $Id$ Date: Sat Jan 30 13:13:53 1999 * $Id$ * DO NOT EDIT. This file generated automagically. * Created: Sat Jan 30 13:13:53 1999 * User: ryu * Char: Input Capacitance Characterization * Input: "clk" *--- SETUP --------------------------------------------------- .include '/home/ryu/src/autochar/autochar-1.5/tech/tsmc35/include/ttlh.sp' * $Id: ttlh,v 1.4 1999/01/19 08:15:10 ryu Exp ryu $ * Copyright (C) 1999 Robert K. Yu * email: robert@yu.org * This file is part of Autochar. * Autochar is free software; you can redistribute it and/or modify * it under the terms of the GNU General Public License as published by * the Free Software Foundation; either version 2, or (at your option) * any later version. * Autochar is distributed in the hope that it will be useful, * but WITHOUT ANY WARRANTY; without even the implied warranty of * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the * GNU General Public License for more details. * You should have received a copy of the GNU General Public License * along with Autochar; see the file COPYING. If not, write to the * Free Software Foundation, Inc., 59 Temple Place - Suite 330, * Boston, MA 02111-1307, USA. * Sample hspice include file to read * in hspice models, library, and conditions. * ttlh := * t ypical N * t ypical P * l ow Vdd * h igh temperature .lib /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp defaults .param + trise = 200ps + tfall = 200ps + period = 6ns + pwidth = 3ns .options + scale = 1.0e-6 * end of: .lib /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp defaults .lib /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp low_voltage .param + vhigh = '3.3*0.9' + vlow = 0 + vmidpoint = '(vhigh+vlow)/2' * end of: .lib /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp low_voltage .lib /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp high_temp .temp 100 * end of: .lib /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp high_temp .lib /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp supplies VDD VDD 0 dc vhigh .global VDD * end of: .lib /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/define.sp supplies .include /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/models.sp * N88Y SPICE BSIM3 VERSION 3.1 (HSPICE Level 49) PARAMETERS * DATE: Oct 30/98 * LOT: n88y WAF: 11 * Temperature_parameters=Default .MODEL NCH NMOS ( LEVEL = 49 +VERSION = 3.1 TNOM = 27 TOX = 7.6E-9 +XJ = 1.5E-7 NCH = 1.7E17 VTH0 = 0.4964448 +K1 = 0.5307769 K2 = 0.0199705 K3 = 0.2963637 +K3B = 0.2012165 W0 = 2.836319E-6 NLX = 2.894802E-7 +DVT0W = 0 DVT1W = 5.3E6 DVT2W = -0.032 +DVT0 = 0.112017 DVT1 = 0.2453972 DVT2 = -0.171915 +U0 = 444.9381976 UA = 2.921284E-10 UB = 1.773281E-18 +UC = 7.067896E-11 VSAT = 1.130785E5 A0 = 1.1356246 +AGS = 0.2810374 B0 = 2.844393E-7 B1 = 5E-6 +KETA = -7.8181E-3 A1 = 0 A2 = 1 +RDSW = 925.2701982 PRWG = -1E-3 PRWB = -1E-3 +WR = 1 WINT = 7.186965E-8 LINT = 1.735515E-9 +XL = 0 XW = 0 DWG = -1.712973E-8 +DWB = 5.851691E-9 VOFF = -0.132935 NFACTOR = 0.5710974 +CIT = 0 CDSC = 8.607229E-4 CDSCD = 0 +CDSCB = 0 ETA0 = 2.128321E-3 ETAB = 0 +DSUB = 0.0257957 PCLM = 0.6766314 PDIBLC1 = 1 +PDIBLC2 = 1.787424E-3 PDIBLCB = 0 DROUT = 0.7873539 +PSCBE1 = 6.973485E9 PSCBE2 = 1.46235E-7 PVAG = 0.05 +DELTA = 0.01 MOBMOD = 1 PRT = 0 +UTE = -1.5 KT1 = -0.11 KT1L = 0 +KT2 = 0.022 UA1 = 4.31E-9 UB1 = -7.61E-18 +UC1 = -5.6E-11 AT = 3.3E4 WL = 0 +WLN = 1 WW = 0 WWN = 1 +WWL = 0 LL = 0 LLN = 1 +LW = 0 LWN = 1 LWL = 0 +CAPMOD = 2 CGDO = 1.96E-10 CGSO = 1.96E-10 +CGBO = 0 CJ = 9.276962E-4 PB = 0.8157962 +MJ = 0.3557696 CJSW = 3.181055E-10 PBSW = 0.6869149 +MJSW = 0.1 PVTH0 = -0.0252481 PRDSW = -96.4502805 +PK2 = -4.805372E-3 WKETA = -7.643187E-4 LKETA = -0.0129496 ) * .MODEL PCH PMOS ( LEVEL = 49 +VERSION = 3.1 TNOM = 27 TOX = 7.6E-9 +XJ = 1.5E-7 NCH = 1.7E17 VTH0 = -0.6636594 +K1 = 0.4564781 K2 = -0.019447 K3 = 39.382919 +K3B = -2.8930965 W0 = 2.655585E-6 NLX = 1.51028E-7 +DVT0W = 0 DVT1W = 5.3E6 DVT2W = -0.032 +DVT0 = 1.1744581 DVT1 = 0.7631128 DVT2 = -0.1035171 +U0 = 151.3305606 UA = 2.061211E-10 UB = 1.823477E-18 +UC = -8.97321E-12 VSAT = 9.915604E4 A0 = 1.1210053 +AGS = 0.3961954 B0 = 6.493139E-7 B1 = 4.273215E-6 +KETA = -9.27E-3 A1 = 0 A2 = 1 +RDSW = 2.30725E3 PRWG = -1E-3 PRWB = 0 +WR = 1 WINT = 5.962233E-8 LINT = 4.30928E-9 +XL = 0 XW = 0 DWG = -1.596201E-8 +DWB = 1.378919E-8 VOFF = -0.15 NFACTOR = 2 +CIT = 0 CDSC = 6.593084E-4 CDSCD = 0 +CDSCB = 0 ETA0 = 0.0286461 ETAB = 0 +DSUB = 0.2436027 PCLM = 4.3597508 PDIBLC1 = 7.447024E-4 +PDIBLC2 = 4.256073E-3 PDIBLCB = 0 DROUT = 0.0120292 +PSCBE1 = 1.347622E10 PSCBE2 = 5E-9 PVAG = 3.669793 +DELTA = 0.01 MOBMOD = 1 PRT = 0 +UTE = -1.5 KT1 = -0.11 KT1L = 0 +KT2 = 0.022 UA1 = 4.31E-9 UB1 = -7.61E-18 +UC1 = -5.6E-11 AT = 3.3E4 WL = 0 +WLN = 1 WW = 0 WWN = 1 +WWL = 0 LL = 0 LLN = 1 +LW = 0 LWN = 1 LWL = 0 +CAPMOD = 2 CGDO = 2.307E-10 CGSO = 2.307E-10 +CGBO = 0 CJ = 1.420282E-3 PB = 0.99 +MJ = 0.5490877 CJSW = 4.773605E-10 PBSW = 0.99 +MJSW = 0.1997417 PVTH0 = 6.58707E-3 PRDSW = -93.5582228 +PK2 = 1.011593E-3 WKETA = -0.0101398 LKETA = 6.027967E-3 ) * * end of: .include /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/models.sp * end of: .include '/home/ryu/src/autochar/autochar-1.5/tech/tsmc35/include/ttlh.sp' .include 'dffce_2x.sp' * $Id: dffce_2x.sp,v 1.5 1999/01/30 21:07:43 ryu Exp $ .subckt xgate out in gaten gatep + wp=4 + wn=2 m0 in gatep out vdd pch l=0.35 w=wp m1 in gaten out gnd nch l=0.35 w=wn .ends .subckt inv out in + wp=12 + wn=6 m0 out in gnd gnd nch l=0.35 w=wn m1 out in vdd vdd pch l=0.35 w=wp .ends .subckt dff_2x q d clk clk_n * Begin port declarations * port output q * port input d * port input clk * port input clk_n * End port declarations x1 min d clk_n clk xgate x2 min mout inv wp=2 wn=1 x3 mout min inv x4 s mout clk clk_n xgate x5 s s_n inv wp=2 wn=1 x6 s_n s inv x7 q s inv cmin min gnd 5fF cmout mout gnd 5fF cs s gnd 5fF cs_n s_n gnd 5fF .ends .subckt mux2 out s0 i0 i1 * Begin port declarations * port output out * port input s0 * port input i0 * port input i1 * End port declarations x0 n1 i0 s0 s0_n xgate wp=6 wn=3 x1 n1 i1 s0_n s0 xgate wp=6 wn=3 x2 s0_n s0 inv wp=6 wn=3 x3 out_n n1 inv wp=10 wn=5 x4 out out_n inv wp=10 wn=5 .ends .subckt dffce_2x q d ce clk clk_n * Begin port declarations * port output q * port input d * port input ce * port input clk * port input clk_n * End port declarations xdff q d0 clk clk_n dff_2x xmux2 d0 ce d q mux2 .ends * end of: .include 'dffce_2x.sp' .include /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/autochar.sp * $Id: autochar.sp,v 1.1 1999/01/19 09:04:21 ryu Exp $ * Copyright (C) 1999 Robert K. Yu * email: robert@yu.org * This file is part of Autochar. * Autochar is free software; you can redistribute it and/or modify * it under the terms of the GNU General Public License as published by * the Free Software Foundation; either version 2, or (at your option) * any later version. * Autochar is distributed in the hope that it will be useful, * but WITHOUT ANY WARRANTY; without even the implied warranty of * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the * GNU General Public License for more details. * You should have received a copy of the GNU General Public License * along with Autochar; see the file COPYING. If not, write to the * Free Software Foundation, Inc., 59 Temple Place - Suite 330, * Boston, MA 02111-1307, USA. * Simple Inverter .subckt inverter in out + nl=0.6 + nw=5.0 + pl=0.6 + pw=10.0 mn0 out in 0 0 nch l=nl w=nw mp1 out in vdd vdd pch l=pl w=pw .ends * Simple Buffer .subckt buffer in out + nl=0.6 + nw=5.0 + pl=0.6 + pw=10.0 + taper=3 mn0 in1 in 0 0 nch l=nl w=nw mp1 in1 in vdd vdd pch l=pl w=pw mn2 out in1 0 0 nch l=nl w='taper*nw' mp3 out in1 vdd vdd pch l=pl w='taper*pw' .ends * Simple Buffer with Infinite drive .subckt ebuffer in out + nl=0.6 + nw=5.0 + pl=0.6 + pw=10.0 + taper=3 mn0 in1 in 0 0 nch l=nl w=nw mp1 in1 in vdd vdd pch l=pl w=pw mn2 in2 in1 0 0 nch l=nl w='taper*nw' mp3 in2 in1 vdd vdd pch l=pl w='taper*pw' * FO=3 Load xload1 in2 NC1 buffer M=3 * infinite drive ebuf out 0 in2 0 +1 .ends * Resistive "Buffer" .subckt resbuffer in out + R=1K rbuf in out R .ends * Short "buffer" .subckt shortbuf in out vshort in out 0 .ends * Infinite drive buffer with slew rate parameter using RC * Input must be an ideal pulse. * to control the edge. .subckt slewbuffer in out + rslew=1k + slew=slewrate $ default from global param slewrate + start=slew_start $ default from global param slew_start + end=slew_end $ default from global param slew_end .param cslew='abs(slew/(rslew*(log(start)-log(end))))' rbuf in out1 rslew cbuf out1 0 cslew * infinite drive ebuf out 0 out1 0 +1 .ends * end of: .include /home/ryu/src/autochar/autochar-1.5/tech/tsmc35/lib/autochar.sp .param ceq = '10fF' *--- INPUTS -------------------------------------------------- vin0 in0 0 pulse ( + '0' + 'vhigh' + '1ns' + 'trise' + 'tfall' + 'pwidth' + 'period') vin1 in1 0 pulse ( + '0' + 'vhigh' + '1ns' + 'trise' + 'tfall' + 'pwidth' + 'period') *--- TEST CIRCUIT -------------------------------------------- xbuf0 in0 input0 buffer xbuf1 in1 input1 buffer xdut0 + out_0 $ q:o + gnd $ d:i + gnd $ ce:i + input0 $ clk:i + gnd $ clk_n:i + dffce_2x *--- LOADS --------------------------------------------------- ceff input1 0 ceq *--- MEASURE ------------------------------------------------- .option autostop .measure tran dut_r delay v(in0) val='vhigh/2' rise=1 + targ=v(input0) val='vhigh/2' rise=1 .measure tran dut_f delay v(in0) val='vhigh/2' fall=1 + targ=v(input0) val='vhigh/2' fall=1 .measure tran dut_delay param='(dut_r + dut_f)/2.0' .measure tran cap_r delay v(in1) val='vhigh/2' rise=1 + targ=v(input1) val='vhigh/2' rise=1 .measure tran cap_f delay v(in1) val='vhigh/2' fall=1 + targ=v(input1) val='vhigh/2' fall=1 .measure tran cap_delay param='(cap_r + cap_f)/2.0' .measure tran opterror param='abs(dut_delay - cap_delay)' *--- TRANSIENT ----------------------------------------------- .trans 5ps '2*period' .modif proff prtbl + optimize ceq=opt('1fF' '1pF' '10fF') + targets opterror=1e-15 + options avg=0.001 .end Subcircuit List index subcircuit name multiplier definition 1 xbuf0 1.00 buffer 2 xbuf1 1.00 buffer 3 xdut0 1.00 dffce_2x 4 xdut0.xdff 1.00 dff_2x 5 xdut0.xdff.x1 1.00 xgate 6 xdut0.xdff.x2 1.00 inv 7 xdut0.xdff.x3 1.00 inv 8 xdut0.xdff.x4 1.00 xgate 9 xdut0.xdff.x5 1.00 inv 10 xdut0.xdff.x6 1.00 inv 11 xdut0.xdff.x7 1.00 inv 12 xdut0.xmux2 1.00 mux2 13 xdut0.xmux2.x0 1.00 xgate 14 xdut0.xmux2.x1 1.00 xgate 15 xdut0.xmux2.x2 1.00 inv 16 xdut0.xmux2.x3 1.00 inv 17 xdut0.xmux2.x4 1.00 inv MODEL PARAMETERS INFORMATION TEMP = 1.0000e+02 MODEL PARAMETERS OF 'BSIM3v3' MODELS -------------------------------------------------------------------------------- Model Name: pch level = 4.9000e+01 kf = 0.0000e+00 af = 1.0000e+00 ef = 1.0000e+00 em = 4.1000e+07 noic = 1.4000e-12 noib = 2.4000e+03 noia = 9.9000e+18 tcv = 0.0000e+00 V/K templevc = 0.0000e+00 templev = 0.0000e+00 eg = 1.1600e+00 eV xti = 3.0000e+00 gap1 = 7.0200e-04 eV/K gap2 = 1.1080e+03 K tmjsw1 = 0.0000e+00 1/K**2 tmjsw2 = 0.0000e+00 1/K tvjsw = 0.0000e+00 1/K tcjsw = 0.0000e+00 1/K tvj = 0.0000e+00 1/K tcj = 0.0000e+00 1/K trd1 = 0.0000e+00 1/K trd2 = 0.0000e+00 1/K**2 trs1 = 0.0000e+00 1/K trs2 = 0.0000e+00 1/K**2 tmj1 = 0.0000e+00 1/K tmj2 = 0.0000e+00 1/K**2 jcap = 1.0000e+00 cbd = 0.0000e+00 F cbs = 0.0000e+00 F cjgate = 4.7736e-10 F/m fc = 5.0000e-01 is = 1.0000e-14 A jsw = 0.0000e+00 A/m n = 1.0000e+00 nds = 1.0000e+00 vnds = -1.0000e+00 V pbswg = 9.9000e-01 V mjswg = 1.9974e-01 cjswg = 4.7736e-10 F/m cjsw = 4.7736e-10 F/m cj = 1.4203e-03 F/m2 mjsw = 1.9974e-01 vjsw = 9.9000e-01 V mj = 5.4909e-01 vj = 9.9000e-01 V js = 0.0000e+00 A/m2 rdc = 0.0000e+00 Ohm rsc = 0.0000e+00 Ohm rd = 0.0000e+00 Ohm rs = 0.0000e+00 Ohm rsh = 0.0000e+00 hdif = 0.0000e+00 m ldif = 0.0000e+00 m acm = 0.0000e+00 geo = 0.0000e+00 meto = 0.0000e+00 m ld = 0.0000e+00 m wd = 0.0000e+00 m xl = 0.0000e+00 m xw = 0.0000e+00 m scalm = 1.0000e+00 lmlt = 1.0000e+00 wmlt = 1.0000e+00 tempmod = 1.0000e+00 smooth = 1.0000e+00 abulklim = 1.0000e-02 lamblim = 3.0000e-02 uefflim = 5.0000e-01 nlim = 1.0000e-02 alpha = 0.0000e+00 1/V walpha = 0.0000e+00 um/V palpha = 0.0000e+00 um**2/V lalpha = 0.0000e+00 um/V vcr = 0.0000e+00 V wvcr = 0.0000e+00 um*V pvcr = 0.0000e+00 um**2*V lvcr = 0.0000e+00 um*V alpha1 = 0.0000e+00 iirat = 0.0000e+00 beta0 = 3.0000e+01 alpha0 = 0.0000e+00 dlc = 4.3093e-09 dwc = 5.9622e-08 cle = 6.0000e-01 clc = 1.0000e-07 cf = 8.7541e-11 ckappa = 6.0000e-01 cgdl = 0.0000e+00 cgsl = 0.0000e+00 b1 = 4.2732e-06 b0 = 6.4931e-07 wmax = 1.0000e+00 wmin = 0.0000e+00 wwl = 0.0000e+00 wwn = 1.0000e+00 ww = 0.0000e+00 wln = 1.0000e+00 wl = 0.0000e+00 dwb = 1.3789e-08 dwg = -1.5962e-08 wint = 5.9622e-08 wr = 1.0000e+00 lmax = 1.0000e+00 lmin = 0.0000e+00 lwl = 0.0000e+00 lwn = 1.0000e+00 lw = 0.0000e+00 lln = 1.0000e+00 ll = 0.0000e+00 lint = 4.3093e-09 vfbcv = -1.0000e+00 pvag = 3.6698e+00 pscbe2 = 5.0000e-09 pscbe1 = 1.3476e+10 pdiblcb = 0.0000e+00 pdiblc2 = 4.2561e-03 pdiblc1 = 7.4470e-04 pclm = 4.3598e+00 etab = 0.0000e+00 eta0 = 2.8646e-02 prt = 0.0000e+00 prwb = 0.0000e+00 prwg = -1.0000e-03 rdsw = 2.3072e+03 rsh = 0.0000e+00 delta5 = 5.0000e-01 delta4 = 2.0000e-02 delta = 1.0000e-02 elm = 5.0000e+00 xpart = 0.0000e+00 cgbo = 0.0000e+00 cgdo = 2.3070e-10 cgso = 2.3070e-10 tnom = 2.7000e+01 voff = -1.5000e-01 ute = -1.5000e+00 u0 = 1.5133e+02 uc1 = -5.6000e-11 uc = -8.9732e-12 ub1 = -7.6100e-18 ub = 1.8235e-18 ua1 = 4.3100e-09 ua = 2.0612e-10 delvto = 0.0000e+00 vth0 = -6.6366e-01 dsub = 2.4360e-01 drout = 1.2029e-02 dvt2w = -3.2000e-02 dvt1w = 5.3000e+06 dvt0w = 0.0000e+00 dvt2 = -1.0352e-01 dvt1 = 7.6311e-01 dvt0 = 1.1745e+00 nlx = 1.5103e-07 w0 = 2.6556e-06 k3b = -2.8931e+00 k3 = 3.9383e+01 k2 = -1.9447e-02 kt2 = 2.2000e-02 kt1l = 0.0000e+00 kt1 = -1.1000e-01 k1 = 4.5648e-01 xt = 1.5500e-07 vbm = -3.0000e+00 vbx = 0.0000e+00 gamma2 = 0.0000e+00 gamma1 = 0.0000e+00 ngate = 0.0000e+00 nch = 1.7000e+17 nsub = 6.0000e+16 keta = -9.2700e-03 a2 = 1.0000e+00 a1 = 0.0000e+00 ags = 3.9620e-01 a0 = 1.1210e+00 at = 3.3000e+04 vsat = 9.9156e+04 xj = 1.5000e-07 nfactor = 2.0000e+00 cit = 0.0000e+00 cdscd = 0.0000e+00 cdscb = 0.0000e+00 cdsc = 6.5931e-04 ijth = 1.0000e-01 wwlc = 0.0000e+00 wwc = 0.0000e+00 wlc = 0.0000e+00 lwlc = 0.0000e+00 lwc = 0.0000e+00 llc = 0.0000e+00 voffcv = 0.0000e+00 noff = 1.0000e+00 moin = 1.5000e+01 acde = 1.0000e+00 tcjswg = 0.0000e+00 tpbswg = 0.0000e+00 vfb = -1.0000e+00 toxm = 7.6000e-09 cox = 4.5436e-03 F/m**2 tox = 7.6000e-09 binunit = 1.0000e+00 nlev = 0.0000e+00 noimod = 1.0000e+00 mobmod = 1.0000e+00 nqsmod = 0.0000e+00 bulk = 1 paramchk = 0.0000e+00 version = 3.1000e+00 intcap = 0.0000e+00 capmod = 2.0000e+00 Model Name: nch level = 4.9000e+01 kf = 0.0000e+00 af = 1.0000e+00 ef = 1.0000e+00 em = 4.1000e+07 noic = -1.4000e-12 noib = 5.0000e+04 noia = 1.0000e+20 tcv = 0.0000e+00 V/K templevc = 0.0000e+00 templev = 0.0000e+00 eg = 1.1600e+00 eV xti = 3.0000e+00 gap1 = 7.0200e-04 eV/K gap2 = 1.1080e+03 K tmjsw1 = 0.0000e+00 1/K**2 tmjsw2 = 0.0000e+00 1/K tvjsw = 0.0000e+00 1/K tcjsw = 0.0000e+00 1/K tvj = 0.0000e+00 1/K tcj = 0.0000e+00 1/K trd1 = 0.0000e+00 1/K trd2 = 0.0000e+00 1/K**2 trs1 = 0.0000e+00 1/K trs2 = 0.0000e+00 1/K**2 tmj1 = 0.0000e+00 1/K tmj2 = 0.0000e+00 1/K**2 jcap = 1.0000e+00 cbd = 0.0000e+00 F cbs = 0.0000e+00 F cjgate = 3.1811e-10 F/m fc = 5.0000e-01 is = 1.0000e-14 A jsw = 0.0000e+00 A/m n = 1.0000e+00 nds = 1.0000e+00 vnds = -1.0000e+00 V pbswg = 6.8691e-01 V mjswg = 1.0000e-01 cjswg = 3.1811e-10 F/m cjsw = 3.1811e-10 F/m cj = 9.2770e-04 F/m2 mjsw = 1.0000e-01 vjsw = 6.8691e-01 V mj = 3.5577e-01 vj = 8.1580e-01 V js = 0.0000e+00 A/m2 rdc = 0.0000e+00 Ohm rsc = 0.0000e+00 Ohm rd = 0.0000e+00 Ohm rs = 0.0000e+00 Ohm rsh = 0.0000e+00 hdif = 0.0000e+00 m ldif = 0.0000e+00 m acm = 0.0000e+00 geo = 0.0000e+00 meto = 0.0000e+00 m ld = 0.0000e+00 m wd = 0.0000e+00 m xl = 0.0000e+00 m xw = 0.0000e+00 m scalm = 1.0000e+00 lmlt = 1.0000e+00 wmlt = 1.0000e+00 tempmod = 1.0000e+00 smooth = 1.0000e+00 abulklim = 1.0000e-02 lamblim = 3.0000e-02 uefflim = 5.0000e-01 nlim = 1.0000e-02 alpha = 0.0000e+00 1/V walpha = 0.0000e+00 um/V palpha = 0.0000e+00 um**2/V lalpha = 0.0000e+00 um/V vcr = 0.0000e+00 V wvcr = 0.0000e+00 um*V pvcr = 0.0000e+00 um**2*V lvcr = 0.0000e+00 um*V alpha1 = 0.0000e+00 iirat = 0.0000e+00 beta0 = 3.0000e+01 alpha0 = 0.0000e+00 dlc = 1.7355e-09 dwc = 7.1870e-08 cle = 6.0000e-01 clc = 1.0000e-07 cf = 8.7541e-11 ckappa = 6.0000e-01 cgdl = 0.0000e+00 cgsl = 0.0000e+00 b1 = 5.0000e-06 b0 = 2.8444e-07 wmax = 1.0000e+00 wmin = 0.0000e+00 wwl = 0.0000e+00 wwn = 1.0000e+00 ww = 0.0000e+00 wln = 1.0000e+00 wl = 0.0000e+00 dwb = 5.8517e-09 dwg = -1.7130e-08 wint = 7.1870e-08 wr = 1.0000e+00 lmax = 1.0000e+00 lmin = 0.0000e+00 lwl = 0.0000e+00 lwn = 1.0000e+00 lw = 0.0000e+00 lln = 1.0000e+00 ll = 0.0000e+00 lint = 1.7355e-09 vfbcv = -1.0000e+00 pvag = 5.0000e-02 pscbe2 = 1.4623e-07 pscbe1 = 6.9735e+09 pdiblcb = 0.0000e+00 pdiblc2 = 1.7874e-03 pdiblc1 = 1.0000e+00 pclm = 6.7663e-01 etab = 0.0000e+00 eta0 = 2.1283e-03 prt = 0.0000e+00 prwb = -1.0000e-03 prwg = -1.0000e-03 rdsw = 9.2527e+02 rsh = 0.0000e+00 delta5 = 5.0000e-01 delta4 = 2.0000e-02 delta = 1.0000e-02 elm = 5.0000e+00 xpart = 0.0000e+00 cgbo = 0.0000e+00 cgdo = 1.9600e-10 cgso = 1.9600e-10 tnom = 2.7000e+01 voff = -1.3293e-01 ute = -1.5000e+00 u0 = 4.4494e+02 uc1 = -5.6000e-11 uc = 7.0679e-11 ub1 = -7.6100e-18 ub = 1.7733e-18 ua1 = 4.3100e-09 ua = 2.9213e-10 delvto = 0.0000e+00 vth0 = 4.9644e-01 dsub = 2.5796e-02 drout = 7.8735e-01 dvt2w = -3.2000e-02 dvt1w = 5.3000e+06 dvt0w = 0.0000e+00 dvt2 = -1.7191e-01 dvt1 = 2.4540e-01 dvt0 = 1.1202e-01 nlx = 2.8948e-07 w0 = 2.8363e-06 k3b = 2.0122e-01 k3 = 2.9636e-01 k2 = 1.9970e-02 kt2 = 2.2000e-02 kt1l = 0.0000e+00 kt1 = -1.1000e-01 k1 = 5.3078e-01 xt = 1.5500e-07 vbm = -3.0000e+00 vbx = 0.0000e+00 gamma2 = 0.0000e+00 gamma1 = 0.0000e+00 ngate = 0.0000e+00 nch = 1.7000e+17 nsub = 6.0000e+16 keta = -7.8181e-03 a2 = 1.0000e+00 a1 = 0.0000e+00 ags = 2.8104e-01 a0 = 1.1356e+00 at = 3.3000e+04 vsat = 1.1308e+05 xj = 1.5000e-07 nfactor = 5.7110e-01 cit = 0.0000e+00 cdscd = 0.0000e+00 cdscb = 0.0000e+00 cdsc = 8.6072e-04 ijth = 1.0000e-01 wwlc = 0.0000e+00 wwc = 0.0000e+00 wlc = 0.0000e+00 lwlc = 0.0000e+00 lwc = 0.0000e+00 llc = 0.0000e+00 voffcv = 0.0000e+00 noff = 1.0000e+00 moin = 1.5000e+01 acde = 1.0000e+00 tcjswg = 0.0000e+00 tpbswg = 0.0000e+00 vfb = -1.0000e+00 toxm = 7.6000e-09 cox = 4.5436e-03 F/m**2 tox = 7.6000e-09 binunit = 1.0000e+00 nlev = 0.0000e+00 noimod = 1.0000e+00 mobmod = 1.0000e+00 nqsmod = 0.0000e+00 bulk = 0 paramchk = 0.0000e+00 version = 3.1000e+00 intcap = 0.0000e+00 capmod = 2.0000e+00 MODEL PARAMETERS OF 'Capacitor' MODELS -------------------------------------------------------------------------------- Model Name: __cdefault scale = 1.0000e+00 tnom = 2.7000e+01 narrow = 0.0000e+00 w = 0.0000e+00 m cmlt = 1.0000e+00 thick = 0.0000e+00 m shrink = 1.0000e+00 l = 0.0000e+00 m di = 0.0000e+00 del = 0.0000e+00 m cox = 0.0000e+00 F/m/m cap = 0.0000e+00 c = given defw = 1.0000e-05 capsw = 0.0000e+00 cj = 0.0000e+00 vc2 = 0.0000e+00 vc1 = 0.0000e+00 tc2 = 0.0000e+00 tc1 = 0.0000e+00 RESULTS OF OPTIMIZATION PARAMETERS name final value init. value -------------------- ------------ ------------ ceq = 9.25847e-15 1.00000e-14 TARGETS name final value init. value -------------------- ------------ ------------ opterror = 1.00000e-15 9.87772e-13 OPTIMIZATION STATISTICS Number of iterations = 6 Number of func evals = 9 Number of Jac evals = 2 Residual sum of squares = 1.513e+02 Norm of the gradient = 3.388e+05 Marquard parameter = 1.326e-02 RMS relative error = 0.000e+00 Average relative error = 0.000e+00 Maximum relative error = 0.000e+00 Termination code = 7 : RMS criterion TABLE OF RESULTS FOR SET #1 PARAMETERS and MEASUREMENTS ceq dut_r dut_f dut_delay cap_r ------------ ------------ ------------ ------------ ------------ 1 1.0000e-14 2.9184e-10 3.5615e-10 3.2399e-10 2.9420e-10 2 1.0316e-14 2.9184e-10 3.5615e-10 3.2399e-10 2.9462e-10 3 9.2495e-15 2.9184e-10 3.5615e-10 3.2399e-10 2.9320e-10 4 9.2401e-15 2.9184e-10 3.5615e-10 3.2399e-10 2.9319e-10 5 9.2401e-15 2.9184e-10 3.5615e-10 3.2399e-10 2.9319e-10 6 9.2401e-15 2.9184e-10 3.5615e-10 3.2399e-10 2.9319e-10 7 9.2401e-15 2.9184e-10 3.5615e-10 3.2399e-10 2.9319e-10 8 9.2590e-15 2.9184e-10 3.5615e-10 3.2399e-10 2.9321e-10 9 9.2585e-15 2.9184e-10 3.5615e-10 3.2399e-10 2.9321e-10 cap_f cap_delay opterror ------------ ------------ ------------ 1 3.5576e-10 3.2498e-10 9.8777e-13 2 3.5617e-10 3.2539e-10 1.4036e-12 3 3.5476e-10 3.2398e-10 1.3301e-14 4 3.5474e-10 3.2396e-10 2.6346e-14 5 3.5474e-10 3.2396e-10 2.6346e-14 6 3.5474e-10 3.2396e-10 2.6346e-14 7 3.5474e-10 3.2396e-10 2.6344e-14 8 3.5477e-10 3.2399e-10 2.5836e-16 9 3.5477e-10 3.2399e-10 1.0000e-15 Total user time : 8.010 seconds. Total system time: 0.130 seconds. Current data size = 712704, Data limits: hard = 2147483647, soft = 2147483647 Circuit: * $Id$ File: dffce_2x.clk.ic_2.sp Date: Sat Jan 30 13:14:01 1999 SmartSpice started on Sat Jan 30 13:13:53 1999 SmartSpice finished on Sat Jan 30 13:14:01 1999